收藏本站网站地图

欢迎光临无锡市奥曼特科技有限公司官网
全国咨询热线 051081000181

奥曼特

15年硅片清洗干燥解决方案综合服务商专注提供研发·设计·制造·销售一站式服务
奥曼特新闻中心为您实时播报

一篇读懂国产半导体设备现状!

作者: 编辑: 来源: 发布日期: 2018.09.26
信息摘要:
半导体产业之风已至,政策环境利好国内半导体设备企业。在全球半导体产业向大陆转移的过程中,半导体设备国产化具有重要战略意义。在国家政策与资金的…

半导体产业之风已至,政策环境利好国内半导体设备企业。在全球半导体产业向大陆转移的过程中,半导体设备国产化具有重要战略意义。在国家政策与资金的支持下,国内半导体行业在技术积累和人才储备方面都在快速增长着。我们测算未来三年(2018至2020年)国内半导体设备需求分别至少为1,605亿元、1712亿元和1,056亿元,其中国产设备将会有至少258亿元的市场需求,随着产业转移的不断进行和新建产线的持续披露,预计会实现更快速的增长。

国内产能扩张带来被动增长,国产化率提升促进主动突破。半导体行业正处于周期性向成长性转变的过程中,而作为上游的半导体设备行业也开始了它的持续增长之路,大陆在设备行业景气度持续提升和国内需求爆发的双重作用下所孕育的绝佳土壤,为设备企业带来了生长机会。国内半导体设备企业在2018至2020年的成长主要来自于国内产能扩张所带来设备需求的被动拉动,而随着国家政策与资金的持续支持、高端制程的不断突破,设备企业有望在2020年之后在国产化浪潮的推进下持续进步。

持续的、高强度的研发投入和核心技术的自主掌握始终是企业的安身立命之本。通过比较我们发现,在产品结构上,综合型设备企业产品线丰富,凭借产品广度形成市场竞争力;专业型设备企业深耕某一个或几个细分领域,在该领域形成垄断优势。在并购风格上,综合型设备企业从事的并购以多样化并购为主;专业型设备企业的并购标的多与公司所专注领域有关且在某一细分技术上具有比较优势。但是这些企业都有一个高度相同的地方——注重研发投入和自主创新,持续的、高强度的研发投入和核心技术的自主掌握始终是企业的安身立命之本。

1、国内设备需求巨大,供需结构亟待改善

1.1、半导体设备是半导体产业链中重要一环

集成电路是半导体产业的核心,包括逻辑电路、存储器、微处理器和模拟电路等四类,占据半导体行业规模八成以上,其余为光电子、分立器件和传感器。芯片作为集成电路的载体,是集成电路经过设计、制造、封装、测试后所呈现的独立的实体。

从产业链的角度看,以集成电路为代表的半导体产品被广泛用于消费电子、通讯、工业自动化等下游电子信息产业之中,同时也受到下游终端应用结构发展的推动,下游应用是半导体产业发展的核心驱动力。

半导体产业在发展过程中,逐渐形成了两种商业模式:一种是集成器件制造模(IDM模式),以英特尔为例,是将芯片从设计到投向市场的一系列步骤全部覆盖的模式;另一种是垂直分工模式,将生产环节进行垂直拆分,每一个环节由专门的厂家负责,例如做半导体设计的英伟达、高通等Fabless(无晶圆厂)企业,做Foundry(晶圆代工)的台积电等。后者出现的标志是1987年台积电的成立,这也使得 晶圆代工成为了台湾地区标志性产业。

1.2、集成电路制造工艺复杂,所需设备众多

集成电路的制作,是将设计好的电路图通过众多复杂的工艺构建在事先准备好的硅片上,最终进行封测的过程。而这整个一套过程,又需要半导体材料、设备和洁净工程等上游产业链作为支撑。

IC设计是通过逻辑电路设计实现特定功能的过程:先由品牌商等客户的工程师与IC设计工程师接触,提出设计要求,然后合作完成逻辑电路图设计,并将设计图转化成电路图,经过软件测试验证是否符合客户规格要求,最后将电路图以光罩的形式制作出来,用于下一步IC制造使用。整个过程主要在计算机中完成,故所需设备较少。

IC制造分为晶圆制造及加工。晶圆制造是指利用二氧化硅作为原材料制作单晶硅硅片的过程。具体来讲,是先利用西门子工艺,将天然硅加工成用来制作芯片的高纯硅,后者又被称为半导体级硅或电子级硅,再利用CZ法等技术将半导体级硅的多晶硅块转换成一块大的单晶硅硅锭。对硅锭进行一系列机械加工、化学处理、表面抛光和质量测量后,可以得到用于下一步晶圆加工的硅片。

IC封测是IC生产的后段环节,对晶圆进行减薄、切割、贴片、引线键合、封装、测试等过程,需要减薄机、引线键合机、切割机、清洗机等设备。

硅片测试中的高废品率会使得客户产品在使用过程中失效,导致其选购其他芯片,削弱芯片制作者在短周期内占领市场的能力,为避免这种情况,正确的测试程序是必须的。而封装行业已从早期的高劳动密集型行业,发展成为现在的高度自动化与产品性能提升过程中的重要推力。

1.3、设备市场增速剧增,大陆晋升半导体设备第三大市场

根据日本半导体制造装置协会统计的数据,2017年全球半导体设备总销售额为566亿美元,同比增长37.3%。分区域来看,中国大陆设备销售额82.3亿美元,占14.5%的比例,韩国为全球最大市场,销售额达到179.5亿美元,占比高达32%,其次为台湾20%,日本为11%,北美为10%。大陆销售占比从2005年的4%上升为2017年的14.5%,并且超过了北美和日本成为第三大市场。

自“十二五”以来中国设备市场销售额自2012年2017年保持着26.9%的复合增速,同期全球销售额增速仅为8.9%,大陆销售额占比也从2012年的6.8%上升到2017年的14.5%,国内下游集成电路应用市场的持续扩张也有望带动半导体设备销售持续增长。

 1

从整个产业链看,半导体设备与材料分别以数百亿的行业规模支撑了下游半导体产业数千亿的需求市场,根据美国半导体产业协会(SIA)的数据,2017年全球半导体产业销售额高达4,058亿美元,2017年半导体设备与材料销售额分别为556亿和469亿美元,也间接说明制造环节为企业带来的高附加值。

1.4、国际集中国内分散,国产半导体设备急需突破

从国际上看,虽然中国设备市场占比逐年增加,但目前主要生产企业主要集中于欧美、日本、韩国和台湾等国家和地区。其中具有代表性的包括美国应用材料(AMAT)、荷兰阿斯麦(ASML)、美国泛林半导体(Lam Research)、日本东京电子(Tokyo Electron)和美国科磊(KLA-Tencor)等起步较早的国际知名企业,它们凭借资金技术等优势占据了全球设备市场的绝大多数份额。

细分领域中,龙头集中的现象依然明显。根据2017年SEMI公布的数据,在集成电路制程中,前段晶圆制造设备投入占比约占设备投资的80%,而后段封装、测试设备投入占比分别为9%和6%。前段制程中由于需要多次进行光刻、沉积、刻蚀等工艺处理,对设备的精度和稳定性要求最高。

 2

根据Gartner的数据,2016年半导体设备主要细分领域前三名厂商占有率都达到了70%以上,光刻机龙头ASML和PVD龙头应用材料更是分别占据了细分市场75.3%和84.9%的市场份额。这就意味着集成电路生产设备,如光刻机、刻蚀设备、PVD、CVD等附加值最大的部分都被海外公司垄断,国内企业急需打破僵局。

相比之下,大陆半导体设备企业起步较晚,市场集中度也很低。2016年大陆前十企业总收入约为47.57亿元,占国内设备市场份额仅为11.71%,占全球市场不足2%,使得我国高端晶圆制造设备基本依赖进口,国产化率较低,国产半导体设备急需突破。

1.5、贸易逆差现象严重,国内供需结构急需改善

我国是全球半导体最大的市场,并且正以高于全球的平均速度发展。根据世界半导体贸易统计组织(WSTS)的统计,2017年我国半导体销售额达到了1,315亿美元,全球占比从2014年的27%增长到2017年的32%,同期美国、日本和欧洲占比分别为21%、9%和9%。

尽管如此,我国进口依赖的局面依然存在,但根据海关总署提供的数据,2017年我国集成电路进口金额同比增长12.7%,达到2,588亿美元,是同年原油进口金额1607.5亿美元的1.6倍,贸易逆差达到了1,925亿美元。足见我国集成电路供需发展的失衡性,在国内需求快速增加的情况下,改善本土半导体产业链是大势所趋。

 3

除了下游之外,我国市场环境属于供需层层不匹配的状态,虽然大陆设备销售额占全球比例不断提升,2016年达到64.6亿美元,但其中国产设备销售额仅仅达到了25亿元人民币,占比不到8%,一方面是不断提高的市场需求占比,一方面是难以提升的国产化设备占比,设备销售的乏力与国内设备的大量需求并不匹配。

4

2、乘行业发展巨浪,国产半导体设备强势起航

2.1、半导体周期已至,大陆半导体产业受益最大

2.1.1、半导体行业重回景气周期,创下历史新高

理论上看,全球半导体行业具有技术呈周期性发展、市场呈周期性波动的特点。20世纪初,随着互联网泡沫的破裂,2001年全球半导体市场下跌32%;随后随着新一轮PC换机潮的到来,半导体市场与2002-2004年进入了高速增长阶段,2005年开始回落,之后受到金融危机影响出现了负增长;2010年随着全球经济好转,全球半导体产值增长了34.4%,2011-2012年受欧债危机、美国量化宽松货币政策、日本地震及终端电子产品需求下滑影响,半导体销售增速分别降为0.4%和-0.7%;2013年始,PC、手机、液晶电视等消费类电子产品需求不断增加,全球半导体产业恢复增长,增速达4.8%,2015-2016年,销售逐渐疲软;2017年随着新一代智能手机、物联网、人工智能、5G等下游的兴起,全球半导体重回景气周期。

 5

从数据上看,根据WSTS的数据,2017年半导体销售额高达4,122亿美元,同比增长21.6%,创下历史新高。存储器同比增长61.5%,远高于其他半导体成分9.9%的增速,印证了“存储器是集成电路产业的温度计和风向标”之说。根据WSTS、SIA、SEMI等多家产业协会和咨询调研机构做出的发展评估,2018年半导体产业收入增速将达到7.5%左右,收入规模约为4,411-4,440亿美元左右。

2.1.2、需求回暖带动上游设备持续向好

理论上看,半导体设备与半导体产业进步呈现同周期规律,半导体产业离不开半导体设备的不断创新,随着制程的进一步提升,对于设备的要求也越来越高,这对于设备企业来说是难得的机遇。同时,技术的进步也带动设备单价与研究壁垒的提升,龙头企业拥有一定护城河,在发展过程中将做到强者恒强。即便如此,随着摩尔定律逐渐逼近极限,海外企业在高端制程的研发进度将会放缓,这就为国内半导体设备厂商提供了弯道超车的时间条件。

 6

资本开支方面,全球主要半导体厂商2017年资本开支均保持上升态势,有望达到723亿美元,增长6.4%,根据IC Insights预测,2018年、2019年资本开支仍将持续上升,预计增长5.3%和6.4%。在此环境下,半导体设备有望受益于下游需求上升而持续其上行周期。

2.1.3、第三次产业转移如火如荼,大陆成为核心地区

纵观历史,全球半导体经历过两次产业转移,第一次发生在上世纪80年代,是美国向日本以家电行业为主导的装配产业的转移,使得日本稳固了其家电行业的地位。第二次则发生在上世纪90年代,得益于日本经济泡沫破灭,使其巨大资本开支难以维系,韩国和台湾抓住机会,在强大资金的支持下,确立了在PC和手机端的全球芯片霸主的地位,台湾更是看中了晶圆代工的市场,着力发展代工产业,由此完成了第二次产业转移——由日本向韩国、台湾地区的转移。

从过往产业转移过程来看,半导体全球级霸主的产生往往伴随着新应用新市场的快速崛起和国家财政的大力支持。目前我国半导体产业正处于新一代智能手机、物联网、人工智能、5G等行业崛起的过程中,应用市场需求庞大;同时政府以多项文件、专项计划大力支持,又通过大基金进行资本投入,使得我国兼具着产业转移的两大历史条件,有望成为第三次产业转移的最大受益者。

2.2、政策与大基金共抗海外技术垄断

2.2.1、国外企业在半导体关键设备领域具有绝对优势

如前文所述,中国作为全球半导体行业最大的市场,本土产业链却存在严重缺陷,与以美日为主导的国际企业相比仍相形见绌。国内设备厂商与国际龙头无论是在销售规模还是在技术积累上都存在较大差距。例如在2016年,以CVD、刻蚀机等设备为主要业务的美国应用材料公司设备收入高达76亿美元,而同期我国半导体设备销售额仅为425亿元,约合64亿美元,不及全球龙头一家公司的收入规模。

具体来看,目前世界集成电路设备制程正处于7nm的研发与14nm的批量生产阶段,而中国还处在14nm的研发与65-28nm的生产阶段,落后国际先进水平一到两个世代,随着下游需求的不断增加和应用场景的日益丰富,高端产能扩张的需求将会快速上升,我国迫切需要走进先进制程。

2.2.2、国内破局,政策与大基金发力国产设备崛起

由于半导体行业具有资金密集、技术密集的特点,也就造就了其政策驱动的特性。正如前文所提到的台湾半导体产业的发展之路一样,我国近年来出台了一系列产业政策与国家发展基金,以促进半导体产业自主发展。一方面说明改革迫在眉睫,另一方面彰显国家对集成电路产业发展的决心。

早在2006年,国务院发布的《国家中长期科学和技术发展规划纲要(2006-2020年)》就确定了“极大规模集成电路制造技术及成套工艺”重大专项的地位,后简称“02专项”。自专项于2008年正式实施以来,我国在半导体设备领域实现了刻蚀机等关键设备的从无到有,在55/40/28nm三代制程实现量产,20-14nm制程取得突破,工艺水平快速提升5代,扭转了工艺全套引进的被动局面。

此外,国务院于2014年6月发布的《国家集成电路产业发展推进纲要》提出要突破集成电路关键设备,研发光刻机、刻蚀机等关键设备,增强产业配套能力。2015年5月,国务院印发《中国制造2025》,明确提出在2020年之前,90-32nm设备国产化率达到50%,2025年之前,20-14nm设备国产化率达到30%,并明确将集成电路放在发展新一代信息技术产业的首位。2016 年5 月,国务院印发《国家创新驱动发展战略纲要》,提出要加大集成电路的技术攻关和推广力度,为我国经济转型升级和国家安全提供保障。2016年12月,国务院印发了《十三五国家战略性新兴产业发展规划》,部署了包括集成电路发展工程在内的21项重大工程。在2018年政府工作报告中,政府首次将集成电路放在重点推进产业的首位,彰显对集成电路发展的决心,为市场打下了一剂强心针。

资金方面,2014年9月,在财政部和工信部共同推动下,国家集成电路产业投资基金(简称“大基金”)正式成立,首期募集资金规模达1387亿元。据国家集成电路产业投资基金股份有限公司总裁丁文武先生介绍,截至2017年底,国家大基金共投资49家企业,累计有效决策投资67个项目(其中包括约24家上市公司),累计项目承诺投资额和实际出资分别达到1188亿元和818亿元,分别占一期募资总金额的86%和61%,大基金一期已基本投资完成。

《国家集成电路产业发展推进纲要》的发布和国家集成电路产业投资基金的成立,使得集成电路产业已经形成国内各行业中最为完备的政策支持体系,集成电路产业迎来了大发展时机。

大基金的投资范围包括集成电路的整条产业链,其中制造、设计、封测、设备材料各环节投资占比分别为63%、20%、10%、7%,主要投向了中芯国际等集成电路制造环节厂商。制造环节位于产业链偏下游位置,在加大制造业投资、扩大产能的同时可以加速带动上游产业扩张,重塑全产业链架构使得国内半导体产业的虚拟IDM模式不断完善。

 7

近日,大基金二期募资也已经启动,拟募资1500-2000亿,有望在设备制造、芯片设计和材料领域加大投资,抓住国内产能扩张的时间窗口,进一步串联整条产业链。同时,考虑到资金具有的放大效应,其将会通过大基金、地方基金、社会资金以及相关的银行贷款等债券融资,按照1:3的撬动比例,撬动社会资金4,500亿至6,000亿元。外加大基金一期及其撬动的社会资金,国家集成电路产业投资基金总募集规模有望达到一万亿元。

2018年3月底,财务部等三部门下发《关于集成电路生产企业有关企业所得税政策》,定向扶持集成电路制造产业,该政策将对2018 年1 月1 日后投资新设集成电路生产企业或项目:①线宽小于130 纳米且经营期在10 年以上的,第1-2年免征企业所得税,第3-5年按25%法定税率减半征收企业所得税;②线宽小于65 纳米或投资额超过150 亿元,且经营期在15 年以上的,第1-5 年免征企业所得税,第6-10年按25%法定税率减半征收企业所得税。此政策一方面通过对国内制造业企业的直接减税,使得盈利能力可获得较大程度的提升;另一方面有望进一步激发制造企业产线投资积极性,强化上游设备需求,扩大设备市场规模。

在政策与资金的共同推动下,国产设备发展取得了长足的进步:以02专项实施最早的硅刻蚀机为例,于2003年启动时,与国外相差20多年的差距;经过这些年的发展和国家专项的大力支持,北方华创每一代的设备推出后,差距都在缩小。2016年14nm的刻蚀机进入生产线时,技术差距基本缩小到2-3年。

2.3、国内建厂潮带来行业机遇

我们统计了23个国内目前正在进行或计划进行的12寸晶圆厂建厂计划,其中内资晶圆厂13个,外资晶圆厂10个,制程工艺水平高至14nm,低至150nm,应用包括了存储、驱动、代工等领域,覆盖面极其广泛,新增月产能合计达到了156万片。

2.3.1、三大类设备占据超七成的晶圆设备需求

在设备投资中,80%的比例为晶圆制造设备,测试设备和封装设备分别占9%和6%,剩余5%为净化系统等其他设备。在晶圆设备中,光刻、成膜和刻蚀设备占比最高,可分别达到30%、20%和25%。

 8

2.3.2、建厂潮将为国产设备带来超过250亿的市场空间

基于国内各类设备投资金额占比和国产化率趋势,保守估计三大核心设备国产化率以每年增加1个百分点的速度稳步提升,其他非核心设备使用与薄膜设备同样的国产化率,即对2018年至2020年的国产化率做出如下预测:

 9

结合我们之前做计算的建厂潮带来的设备投资额,我们推算出2018至2020年国产设备需求至少可以达到84亿、102亿和72亿元,三年合计可达到至少258亿元的市场规模。随着产业转移的不断进行和新建产线的持续披露,预计将会有更大的销售规模。

 10

2.4、政策资金支持人才培养,研发投入成为企业制胜关键

同样,半导体的技术密集型特点也体现在人才的积累与研发投入上。《国家集成电路产业推进纲要》同样提出,要加大人才培养和引进力度,为集成电路人才引进提供经济保障,加大集成电路领域优秀人才的支持力度。《中国制造2025》也将“人才为本”作为了基本方针之一。

根据2017年5月工信部软件与集成电路促进中心(CSIP)发布的《中国集成电路产业人才白皮书(2016-2017)》,到2030年我国集成电路产业规模将扩大5倍以上,目前相关从业人员总数不足30万人,需要70万人才能填补人才总量的缺口。《白皮书》认为我国集成电路产业人才供给与产业增速存在不匹配现象,仅依托高校不能满足发展需求,要做到“产学研”融合培养。

2017年10月,国内晶圆代工龙头中芯国际成功聘用原台积电自身研发处处长、三星研发部总经理梁孟松作为公司联席CEO。梁孟松在三星就任时期,曾帮助三星从28nm制程到14nm制程的飞跃,快速完成对老东家台积电的赶超。足见具有丰富经验的科研工作者在促进半导体技术发展中起到的关键性作用,而引进海外人才也成为我国半导体设备企业可行之路。

在设备市场上,美国半导体设备龙头应用材料在2017财年投入17.74亿美元作为研发费用。从2005至2017财年,研发费用对收入占比也始终保持在14%左右,尤其是在营收大幅下降38.3%的2009年金融危机时期,研发费用占比反而有所提升,达到18.6%,公司一直把新产品研发作为公司重要的发展战略。尤其在近年先进世代线技术发展的过程中,公司加大了研发投入,在2017年同比增长了15%,达到金融危机以来最大增速。全球光刻机霸主ASML也同样注重研发,近十年来研发费用率始终保持在16%左右,并且为了配合先进世代线的发展,同样在近两年开始加大研发投入力度。

 11

参考后文中国际半导体设备龙头对研发的重视我们认为,研发是驱动半导体设备企业发展的核心。而对于技术并不足够成熟的国内企业,为了高速发展完成赶超必须大力投入研发,牺牲的利润换来的将会是未来更大的增长。

3、国产设备短期受益于建厂潮,长期受益于国产化率提升趋势

3.1、新增产能精度增速开始降低,中低端需求仍然存在

随着摩尔定律逐渐逼近极限,海外企业在高端制程的研发进度将会放缓,这就为国内半导体设备厂商提供了弯道超车的时间条件。

摩尔定律是由英特尔(Intel)创始人之一戈登•摩尔于1965年提出的“集成电路芯片上所集成的电路的数目,每隔18个月就翻一倍”,多年来一直被半导体界奉为金科玉律,但是近年来随着制程进入20nm以下,逐渐逼近现有工艺以及材料物理的极限,提高制程的难度和成本都开始飞速抬升。而为了延续半导体产品的性能提升以及成本下降,代工企业已经开始通过根据产品需求合理搭配技术,并升级封装工艺以完成“超越摩尔”的目标。台积电以16nm制程工艺搭配自主开发的InFO WLP技术提供的更薄更小的封装方案,击败三星的14nm制程工艺独吞A10 订单,就是一个经典案例。

 12

目前国内对先进制程的掌握程度并未达到国际先进水平,代工龙头中芯国际也还处在28nm成熟制程的爬坡与14nm制程的攻坚阶段,而国际代工龙头台积电已经完成了10nm的量产爬坡,并已开始7nm的量产,国内在先进制程的能力不足使得扩产主要集中在中低端制程领域。

另一方面,虽然从理论上讲高制程带来了更低的开关能耗和更快的运行速率,但随着研发难度和生产工序的增加,制程演进的性价比提升趋于停滞,造成了“28nm 长制程”的现象。20nm和16/14nm制程的成本一度高于28nm,这是摩尔定律有效运行60多年来首次遇到制程缩小但成本不降反升的问题。

 13

根据我们统计,在国内已披露的23条新增晶圆厂中,除去尚未披露制程规模的厂商,具有28nm以上(不含28nm)制程生产计划的晶圆产线只有6家,总计划投资额约合2,846亿元,仅占全部投资额的29%。

3.2、半导体行业正处于周期性向成长性转变的过程中

半导体作为电子产业链的上游行业,其周期性的供需两端分别来自于下游各行业需求的波动和上游集成电路供给的波动。而此集成电路的供给又成为了半导体设备的需求端,而下游电子产业又受经济周期变动影响巨大,从而传导至最上游为半导体设备带来周期性。

为确定半导体周期性传导关系,我们使用数据记录周期更长的北美半导体设备制造商出货额月度同比作为上游设备景气度指标,下游半导体采用美国半导体产业协会统计的半导体销售额数据。通过数据分析与比较,我们发现以下趋势:

2012年之前,半导体销售额与设备销售额具有明显的周期性与相关性,同时半导体销售总是先于设备到达周期的波峰或波谷,并且设备波动总是大于下游半导体销售波动。2012年以后,两者震荡幅度明显减小,周期性有所减弱。

 14

从需求来看,在过去,半导体行业下游应用较为单一,需求变化与晶圆厂扩产的错配

现象为行业带来周期性波动。2012年起,智能手机迅速崛起,使得半导体市场由之前的单一市场驱动发展为智能手机+计算机的双下游驱动,市场的分散化有效缓解了需求端的波动性。此外,2016年以来,智能手机从增量市场进入存量市场,出货量表现出疲软态势,2018年以来国内智能手机出货量更是出现了负增长,而半导体销售额却以保持了20个月的连续增长,2018年一季度同比增速更是超过了20%,这也侧面反映出新兴市场的发展对半导体产业发展的推动作用。

 15

从供给端看,理论上讲半导体销售的变化对半导体企业资本自出和设备需求具有推动作用,但由于信息或订单的传导需要时间,导致半导体的拐点总是先于设备到来,例如在2001年9月,半导体销售额同比降低44.6%,为当期最低增速,而半导体设备到了11月才到达底部;到2009年2月,受金融危机影响,半导体产业大幅下跌,与当年3-4月达到低点,而彼时设备行业还在下降过程中,并于4-5月触及谷底;到了2010年,整个电子产业开始复苏,市场需求带动上游需求大幅增加,于当年2-3月达到增长高峰后回落,而半导体设备销售额在5-6月进入顶部后开始回落。

全球半导体行业已经不断发展几十年,半导体销售规模从2001年的1,768亿美元增长至2017年的4,122亿美元,以5.4%的复合增长率持续增长着,但同时,龙头企业始终保持着高于行业平均增速的增长速度,以晶圆代工行业龙头台积电为例,公司自2001年开始以13.7%的速度持续增长,市占率已经在2017年达到了55.9%,此时第二名的格罗方德仅有9.4%,由于代工行业具有资金密集、技术密集的特点,使得行业壁垒高于大部分其他半导体环节,也促使拥有技术和资金自给自足的特点加速市场份额的提升。

半导体作为一个历史悠久的周期性行业,在每一次供给端收紧的过程中都为行业整合带来推动力。以存储器龙头三星为例,2008年金融危机时,DRAM价格暴跌就成,三星却利用上一年利润的118%进行产能扩充,并发起价格战致使德国厂商奇梦达和日本厂商尔必达分别在2009和2012年破产,尔必达后被美光低价收购;2011-2012年,DRAM市场热度再次退去,三星却又一次加大投资,两年内资本支出均不低于170亿美元,在2013年行业复苏前占得先机,并进一步挤出竞争对手,使得台湾茂德于2012年破产,华亚科于2015年被美光收购为全资子公司,自此业内仅剩三星、SK海力士、美光三大玩家,其中三星和SK海力士占据75%的市场份额。

 16

参考从1999年到2017年的半导体销售额年度变化的波动趋势我们再次发现,整个半导体销售额的波动逐年减小是一个长期的过程,波动幅度从2000年前后的50%,下降到2009年前后的41%,到2016年前后缩减到了20%。周期性有明显的弱化。2018年以来,已创纪录地连续三个月保持着20%以上的同比增长,成长性开始强化。

成长性的提升带来行业持续的增长,而作为上游的半导体设备行业也开始了它的持续增长之路,北美半导体设备制造商销售额自2016年10月份以来,已连续增长了18个月。根据SEMI预估,2018年全球半导体设备销售额增速将达到9%,而随着2017年中国晶圆厂开始大规模兴建,中国将成为主要增长引擎,SEMI预测2018年年中国设备销售额成长幅度最大,将同比增长49.3%,达到113亿美元,成为仅次于韩国的第二大市场。大陆在设备行业景气度持续提升和国内需求爆发的双重作用下所孕育的绝佳土壤,为设备企业带来了生长机会。

3.3、短期受益于扩产需求,长期受益于国产化率提升

肥沃的土壤必然会为企业带来做大做强的可能性,同时通过研发形成的技术优势也是企业发展的关键。

目前以北方华创、中微半导体、盛美半导体等为代表的主要半导体设备国内厂商已经在多类产品上实现了批量生产和测试。我国总体工艺水平28nm的国产设备覆盖率已经达到了17%-18%,刻蚀机、离子注入机、PVD、CMP等16种关键设备以经过大产线考核,光刻机突破90纳米曝光分辨率,另外有9项应用于14nm的国产设备开始进入验证阶段。

 17

与此同时,国产设备的客户接受度也在不断增强,在2017年统计的主流65-28nm客户不定量的采购的12类设备清单中可以看到,总采购量已经超过了200台,今年将会进一步提升。

 18

我们认为,国内半导体设备企业在2018至2020年的成长主要来自于国内产能扩张所带来设备需求的被动拉动,根据我们先前的统计、测算与假设,2018年至2020年在建的28nm及以下制程的产线共有17条,总设备投资额分别为1,257亿元、1,182亿元和563亿元,根据28nm设备国产化率17%-18%,假设这部分设备国产化率水平达到20%,那么将会分别带来251亿元、236亿元和113亿元的国产设备市场空间。

而随着国家政策与资金的持续支持,以及不断加强的研发投入,国内半导体设备企业将有望在高端制程中取得不断突破,例如北方华创已将10nm、7nm前沿关键技术的研发定为公司重点工作之一。设备企业在追赶的过程中将持续推进设备国产化率的提升,以完成中国制造2025所定下的目标:在2020年之前,90-32纳米工艺设备国产化率达到50%,2025年之前,20-14纳米工艺设备国产化率达到30%。这将为整体行业带来第二波成长机会。

4、综合型VS专业型,探索不同战略选择的共通之处

半导体设备公司可分为覆盖多种设备的综合型公司以及专注某些细分领域的专业型公司,两者在产品结构、并购风格上有所不同,但对研发投入和自主创新的态度高度一致。下面分别以AMAT和北方华创作为综合型设备商代表,以ASML和中微半导体作为专业型设备商代表,分析其发展路径。





咨询热线

051081000181